Comment puis-je générer un fichier FIRRTL à partir du code chisel ? J'ai installé sbt, firrtl et verilator selon le wiki github. Et créé un code chisel pour un simple additionneur. Je veux générer le FIRRTL et le convertir en Verilog ? Mon problème est de savoir comment obtenir le fichier firrtl à partir du code chisel. Merci.
Fichier source : MyQueueTest/src/main/scala/example/MyQueueDriver.scala
package example
import chisel3._
import chisel3.util._
class MyQueue extends Module {
val io = IO(new Bundle {
val a = Flipped(Decoupled(UInt(32.W)))
val b = Flipped(Decoupled(UInt(32.W)))
val z = Decoupled(UInt(32.W))
})
val qa = Queue(io.a)
val qb = Queue(io.b)
qa.nodeq()
qb.nodeq()
when (qa.valid && qb.valid && io.z.ready) {
io.z.enq(qa.deq() + qb.deq())
}
}
object MyQueueDriver extends App {
chisel3.Driver.execute(args, () => new MyQueue)
}